Pascal and Francis Bibliographic Databases

Help

Search results

Your search

au.\*:("SAXENA, Sharad")

Document Type [dt]

A-Z Z-A Frequency ↓ Frequency ↑
Export in CSV

Publication Year[py]

A-Z Z-A Frequency ↓ Frequency ↑
Export in CSV

Discipline (document) [di]

A-Z Z-A Frequency ↓ Frequency ↑
Export in CSV

Author Country

A-Z Z-A Frequency ↓ Frequency ↑
Export in CSV

Results 1 to 20 of 20

  • Page / 1
Export

Selection :

  • and

From ordinary to shrinkage square-root estimatorsSAXENA, Sharad; SINGH, Housila P.Communications in statistics. Theory and methods. 2006, Vol 35, Num 4-6, pp 1037-1058, issn 0361-0926, 22 p.Article

Bayesian and shrinkage estimation of process capability index CpSINGH, Housila P; SAXENA, Sharad.Communications in statistics. Theory and methods. 2005, Vol 34, Num 1, pp 205-228, issn 0361-0926, 24 p.Article

International Symposium on Semiconductor ManufacturingMOZUMDER, P. K; SAXENA, Sharad.IEEE transactions on semiconductor manufacturing. 1996, Vol 9, Num 4, pp 477-535, issn 0894-6507Conference Proceedings

Estimation of standard deviation in normal parent by shrinkage towards an intervalSINGH, Housila P; SAXENA, Sharad; ESPEJO, M. Ruiz et al.Journal of statistical planning and inference. 2004, Vol 126, Num 2, pp 479-493, issn 0378-3758, 15 p.Article

Examining transport futures with scenario analysis and MCAHICKMAN, Robin; SAXENA, Sharad; BANISTER, David et al.Transportation research. Part A, Policy and practice. 2012, Vol 46, Num 3, pp 560-575, issn 0965-8564, 16 p.Article

Rapid failure analysis using contamination-defect-fault (CDF) simulationKHARE, J; MALY, W.IEEE transactions on semiconductor manufacturing. 1996, Vol 9, Num 4, pp 518-526, issn 0894-6507Conference Paper

Manufacturing cost of active-matrix liquid-crystal displays as a function of plant capacityJURICHICH, S; WOOD, S. C; SARASWAT, K. C et al.IEEE transactions on semiconductor manufacturing. 1996, Vol 9, Num 4, pp 562-572, issn 0894-6507Conference Paper

An automated approach on electrical technology characterization and analysisPERELLO, C; LOZANO, M; MILLAN, J et al.IEEE transactions on semiconductor manufacturing. 1996, Vol 9, Num 4, pp 573-577, issn 0894-6507Conference Paper

Linear control rules for production control of semiconductor fabsGLASSEY, C. R; SHANTHIKUMAR, J. G; SESHADRI, S et al.IEEE transactions on semiconductor manufacturing. 1996, Vol 9, Num 4, pp 536-549, issn 0894-6507Conference Paper

Patterning tool characterization by causal variability decompositionYU, C; LIU, H.-Y; SPANOS, C. J et al.IEEE transactions on semiconductor manufacturing. 1996, Vol 9, Num 4, pp 527-535, issn 0894-6507Conference Paper

Analysis of the impact of process variations on clock skew : Statistical metrologyZANELLA, Stefano; NARDI, Alessandra; NEVIANI, Andrea et al.IEEE transactions on semiconductor manufacturing. 2000, Vol 13, Num 4, pp 401-407, issn 0894-6507Article

In-line defect sampling methodology in yield management : An integrated frameworkNURANI, R. K; AKELLA, R; STROJWAS, A. J et al.IEEE transactions on semiconductor manufacturing. 1996, Vol 9, Num 4, pp 506-517, issn 0894-6507Conference Paper

Micromasking of plasma etching due to bacteria : A yield detractor for ULSIPERERA, A. H; SATTERFIELD, M. J.IEEE transactions on semiconductor manufacturing. 1996, Vol 9, Num 4, pp 577-580, issn 0894-6507Conference Paper

Simple and quick turnaround time fabrication process for deep submicrometer CMOS generationKOIKE, H; MATSUOKA, F; OHTSUKA, H et al.IEEE transactions on semiconductor manufacturing. 1996, Vol 9, Num 4, pp 489-494, issn 0894-6507Conference Paper

Characterization and modeling of intra-die variation and its applications to Design for ManufacturabilitySAXENA, Sharad; GUARDIANI, Carlo; QUARANTELLI, Michele et al.SPIE proceedings series. 2003, pp 246-250, isbn 0-8194-4847-8, 5 p.Conference Paper

Test structures and analysis techniques for estimation of the impact of layout on MOSFET performance and variabilitySAXENA, Sharad; MINEHANE, Sean; JIANJUN CHENG et al.2004 international conference on microelectronic test structures. 2004, pp 263-266, isbn 0-7803-8262-5, 1Vol, 4 p.Conference Paper

Analysis of mixed-signal manufacturability with statistical technology CAD (TCAD)HANSON, D. A; GOOSSENS, R. J. G; REDFORD, M et al.IEEE transactions on semiconductor manufacturing. 1996, Vol 9, Num 4, pp 478-488, issn 0894-6507Conference Paper

Daily scheduling for R&D semiconductor fabricationLIAO, D.-Y; CHANG, S.-C; PEI, K.-W et al.IEEE transactions on semiconductor manufacturing. 1996, Vol 9, Num 4, pp 551-561, issn 0894-6507Conference Paper

Variation in Transistor Performance and Leakage in Nanometer-Scale Technologies : Device technologies and circuit techniques for power managementSAXENA, Sharad; HESS, Christopher; KARBASI, Hossein et al.I.E.E.E. transactions on electron devices. 2008, Vol 55, Num 1, pp 131-144, issn 0018-9383, 14 p.Article

Monitoring multistage integrated circuit fabrication processesRAO, S; STROJWAS, A. J; LEHOCZKY, J. P et al.IEEE transactions on semiconductor manufacturing. 1996, Vol 9, Num 4, pp 495-505, issn 0894-6507Conference Paper

  • Page / 1